基于ModelsimFLI接口的FPGA混合仿真

2013-11-01 15:20 來(lái)源:電子信息網(wǎng) 作者:洛小辰

1、Modelsim及FLI接口介紹

Modelsim是ModelTechnology(MentorGraphics的子公司)的HDL硬件描述語(yǔ)言仿真軟件,可以實(shí)現(xiàn)VHDL,Verilog,以及VHDL-Verilog混合設(shè)計(jì)的仿真。

除此之外,Modelsim還能夠與C語(yǔ)言一起實(shí)現(xiàn)對(duì)HDL設(shè)計(jì)文件的協(xié)同仿真。同時(shí),相對(duì)于大多數(shù)的HDL仿真軟件來(lái)說(shuō),Modelsim在仿真速度上也有明顯優(yōu)勢(shì)。這些特點(diǎn)使Modelsim越來(lái)越受到EDA設(shè)計(jì)者、尤其是FPGA設(shè)計(jì)者的青睞。

Modelsim的FLI接口(即ForeignLanguageInteRFace)提供了C語(yǔ)言動(dòng)態(tài)鏈接程序與仿真器的接口,可以通過(guò)C語(yǔ)言編程對(duì)設(shè)計(jì)文件進(jìn)行輔助仿真。

2、協(xié)同仿真系統(tǒng)結(jié)構(gòu)及意義

協(xié)同仿真就是利用仿真工具提供的外部接口,用其他程序設(shè)計(jì)語(yǔ)言(非HDL語(yǔ)言,等)編程,輔助仿真工具進(jìn)行仿真。Modelsim提供了與C語(yǔ)言的協(xié)同仿真接口。以Windows平臺(tái)為例,用戶通過(guò)modelsim提供的C語(yǔ)言接口函數(shù)編程,生成動(dòng)態(tài)鏈接庫(kù),由modelsim調(diào)用這些動(dòng)態(tài)鏈接庫(kù)進(jìn)行輔助仿真(圖1)。

8

圖1協(xié)同仿真示意圖


Modelsim與C語(yǔ)言協(xié)同仿真一是用于產(chǎn)生測(cè)試向量,避免手工編寫(xiě)測(cè)試向量的繁瑣;二是可以根據(jù)程序計(jì)算結(jié)果自動(dòng)檢查仿真結(jié)果正確與否;三是模擬其他模塊(如RAM)的功能,在系統(tǒng)級(jí)對(duì)設(shè)計(jì)文件仿真。實(shí)際中一般是把一和二結(jié)合在一起,用程序產(chǎn)生仿真向量,一方面輸出給設(shè)計(jì)文件作為輸入,另一方面由程序本身對(duì)該向量計(jì)算,把得到的結(jié)果與仿真器的輸出結(jié)果比較,檢查邏輯是否正確(圖2)。至于模擬功能,現(xiàn)在已經(jīng)有一些通用芯片的模擬程序,如denali可以模擬RAM的功能。另外,用戶也可以利用modelsim提供的編程接口自己模擬一些芯片的行為,然后與設(shè)計(jì)文件連接到一起仿真。

9

圖2語(yǔ)言測(cè)試程序?qū)HDL設(shè)計(jì)的協(xié)同仿真結(jié)構(gòu)圖


3、C語(yǔ)言對(duì)VHDL設(shè)計(jì)的協(xié)同仿真

3.1構(gòu)成框圖

仿真文件的構(gòu)成如圖3所示,包括HDL文件和動(dòng)態(tài)鏈接庫(kù)(即C程序)。圖中C程序?qū)?yīng)的VHDL文件要負(fù)責(zé)聲明對(duì)應(yīng)的動(dòng)態(tài)連接庫(kù)文件名及初始化函數(shù),另外還可以給出一些調(diào)用參數(shù)。動(dòng)態(tài)鏈接庫(kù)中用到的輸入輸出信號(hào)也要在對(duì)應(yīng)的VHDL文件中聲明。


10

圖3仿真文件構(gòu)成示意圖




1 2 > 
FPGA 仿真

相關(guān)閱讀

暫無(wú)數(shù)據(jù)

一周熱門(mén)