基于FPGA的SPI Flash控制器的設計

2013-10-21 15:44 來源:電子信息網(wǎng) 作者:洛小辰

本文提出一個基于FPGA的SPI Flash讀寫硬件實現(xiàn)方案,該方案利用硬件對SPI Flash進行控制,能夠非常方便地完成Flash的讀寫、擦除、刷新及預充電等操作,同時編寫的SPI Flash控制器IP核能夠進行移植和復用,作為SOC芯片的功能模塊。SPI Flash控制器采用VHDL語言進行編寫,在Modelsim 6.5g上通過功能仿真,并且在XUPV5-LX110TFPGA開發(fā)板上通過硬件測試,實現(xiàn)結果表明方案的可行性。

1.引言

Flash是一種具有電可擦除的可編程ROM,按接口可以分為兩大類:并行Flash和串行 Flash.并行Flash存儲量大,速度快;而串行Flash存儲量相對較小,但體積小,連線簡單,可減小電路面積,節(jié)約成本。SPI Flash是內(nèi)嵌SPI總線接口的串行Flash,它比起傳統(tǒng)的并行總線接口Flash,節(jié)省了很多的I/O口資源,從而為系統(tǒng)功能的擴展提供了更多的可能。

隨著SPI Flash越來越多地應用到各種領域,其傳統(tǒng)讀寫方式中讀寫速度不夠快、占用CPU資源以及操作不夠簡便靈活的缺點表現(xiàn)得更為突出,如何解決以上問題成為大家關注的焦點。利用硬件對SPI Flash進行控制,能夠非常方便地完成Flash的讀寫、擦除、刷新及預充電等操作,且不占用CPU資源,同時編寫的SPI Flash控制器IP核能夠進行移植和復用,作為SOC芯片的功能模塊。因此提出了一種基于FPGA的SPI Flash控制器的設計方案,并用VHDL實現(xiàn)。編寫的SPI Flash控制器IP核在Modelsim 6.5g上進行了功能仿真,在FPGA開發(fā)板上進行了測試驗證,可作為功能模塊應用于SOC芯片設計。

2.SPI Flash控制器設計

2.1 SPI Flash芯片選擇

本方案SPI Flash芯片采用GigaDevice公司的GD25Q系列,GD25Q系列SPI Flash包括4Mbit的GD25Q40,2M bit的GD25Q20,1M bit的GD25Q10以及521K bit的GD25Q512,這里將采用512K bit的GD25Q512.圖1為GD25Q系列SPIFlash(SOP8封裝)引腳排列圖。其中VCC和VSS分別為電源和地,其他6個引腳均可直接與F P G A的I / O引腳相連;寫保護引腳W P #和HOLD#掛起引腳用于數(shù)據(jù)保護和空閑模式的低功耗運行,若不使用可將其置為高電平;CS#為片選信號,低電平時表示器件被選中,反之工作在待機狀態(tài);SO為串行數(shù)據(jù)輸出,數(shù)據(jù)在時鐘的下降沿輸出到Flash器件;SI為串行數(shù)據(jù)輸入,包括傳輸指令、地址和輸入數(shù)據(jù),輸入信號在時鐘的上升沿鎖存到 Flash器件中。SCLK為串行時鐘,由FPGA提供。

31


2.2 SPI Flash指令操作

GDQ25系列SPI Flash指令較多,所有指令都是8位,操作時先將片選信號CS#拉低選中器件,然后輸入8位操作指令字節(jié),串行數(shù)據(jù)在片選信號CS#拉低后的第一個時鐘的上升沿被采樣,SPI Flash啟動內(nèi)部控制邏輯,自動完成相應操作。有些操作在輸入指令后需要輸入地址字節(jié)和偽字節(jié),最后操作完成后再將片選信號拉高。

2.3 SPI Flash控制器設計原理

SPI Flash控制器必須能夠產(chǎn)生SPI Flash芯片執(zhí)行各操作(如寫使能、刷新、預充電、讀芯片ID、讀取狀態(tài)寄存器、寫狀態(tài)寄存器、扇區(qū)擦除、塊擦除、整體擦除、讀取數(shù)據(jù)、快速讀取數(shù)據(jù)、頁面編程)時所需指令時序,用戶只需要輸入對應操作的8位指令值及對應的操作地址值,SPI接口與SPI Flash的數(shù)據(jù)傳輸將由SPI Flash控制器內(nèi)部狀態(tài)機控制執(zhí)行。

32


3.SPI Flash控制器實現(xiàn)

3.1 SPI Flash測試系統(tǒng)

本文設計的SPI Flash測試系統(tǒng)由用戶端、FPGA和SPI Flash構成,系統(tǒng)框圖如圖2所示,其中FPGA選用Xilinx公司Virtex5系列芯片(Virtex-5 XC5VLX110T),SPI Flash芯片采用GigaDevice公司的GD25Q系列512K bit容量的GD25Q512.

SPI Flash控制器由VHDL編寫,主要為SPIFlash芯片提供串行時鐘,將從用戶端輸入的數(shù)據(jù)(包括指令字節(jié)、地址字節(jié)和數(shù)據(jù)字節(jié))寄存起來并在串行時鐘的控制下通過spi_dout信號線逐位輸出到SPI Flash芯片中,同樣將從SPI Flash芯片中讀出的串行數(shù)據(jù)轉(zhuǎn)換成并行數(shù)據(jù)送給用戶端。此外,SPI Flash控制器還必須在用戶通過sel、addr以及wr組合發(fā)出的操作命令下產(chǎn)生一系列的控制信號,并在這些控制信號的作用下根據(jù)狀態(tài)機的轉(zhuǎn)換方向進行動作并且輸出相應的結果。

3.2 SPI Flash控制器控制狀態(tài)機

由于SPI Flash操作命令較多,并且很多操作命令之間存在相同的操作步驟,所以利用狀態(tài)機進行控制能夠準確有條理地完成對SPI Flash的操作。分析GDQ25系列SPI Flashdatasheet,可將SPI Flash控制器的工作狀態(tài)劃分為空閑狀態(tài)(IDLE)、傳輸指令狀態(tài)(TxCMD)、傳輸高字節(jié)地址狀態(tài)(TxADD_H)、傳輸中間字節(jié)地址狀態(tài)(TxADD_M)、傳輸?shù)妥止?jié)地址狀態(tài)(TxADD_L)、傳輸偽字節(jié)狀態(tài)(TxDummy)、傳輸數(shù)據(jù)狀態(tài)(TxDATA)和接收數(shù)據(jù)狀態(tài)(RxDATA)。除此以外,由于所有接收到的指令值都寄存在指令寄存器內(nèi),當一條指令執(zhí)行完畢時需要將指令寄存器清空,以便接收下一條用戶指令,所以設定一個清除指令狀態(tài)(CLR_CMD)作為每一操作完成后的收尾狀態(tài)。當狀態(tài)機進入CLR_CMD狀態(tài)后,表示當前操作已經(jīng)完成,正將指令寄存器指令值清空;當狀態(tài)機進入IDLE狀態(tài)時,用戶可輸入下一操作指令,對SPI Flash進行下一操作??紤]到SPI Flash的響應時間,在以上工作狀態(tài)中間插入了一些等待狀態(tài)(WAIT)。

1 2 > 
FPGA SPI

相關閱讀

暫無數(shù)據(jù)

一周熱門