Modelsim的功能仿真和時序仿真

2013-09-04 16:23 來源:電子信息網(wǎng) 作者:洛小辰

FPGA設計流程包括設計輸入,仿真,綜合,生成,板級驗證等很多階段。在整個設計流程中,完成設計輸入并成功進行編譯僅能說明設計符合一定的語法規(guī)范,并不能說明設計功能的正確性,這時就需要通過仿真對設計進行驗證。在FPGA 設計中,仿真一般分為功能仿真(前仿真)和時序仿真(后仿真)。功能仿真又叫邏輯仿真,是指在不考慮器件延時和布線延時的理想情況下對源代碼進行邏輯功能的驗證;而時序仿真是在布局布線后進行,它與特定的器件有關,又包含了器件和布線的延時信息,主要驗證程序在目標器件中的時序關系。在有些開發(fā)環(huán)境中,如 Xilinx ISE 中,除了上述的兩種基本仿真外,還包括綜合后仿真,轉換(post-translate)仿真,映射后(post-map)仿真等,這樣做完每一步都可進行仿真驗證,從而保證設計的正確性。

ModelSim 是Mentor Graphics 子公司MentorTechnology 的產(chǎn)品,是當今最通用的FPGA 仿真器之一。ModelSim 功能強大,它支持FPGA 設計的各個階段的仿真,不僅支持VHDL 仿真,Verilog仿真,而且支持VHDL 和Verilog 混合仿真。它不僅能做仿真,還能夠對程序進行調(diào)試,測試代碼覆蓋率,對波形進行比較等。ModelSim 有很多版本,像ModelSim/SE 是首要版本, 除此之外還有ModelSim/XE 和ModelSim/AE,分別是為Xilinx公司和Altera 公司提供的OEM 版,其中已包含各公司的庫文件,故用特定公司OEM 版進行仿真時就不需編譯該公司的庫了。

用ModelSim 進行功能仿真

進行功能仿真首先要檢查設計的語法是否正確;其次檢查代碼是否達到設計的功能要求。下文主要介紹仿真步驟和測試激勵的加載。

仿真步驟

(1)建立庫并映射庫到物理目錄

因為用ModelSim 進行仿真是建立在仿真庫的基礎上的(此處進行的是功能仿真,因而不用編譯特定廠商的庫),所以首先要建立庫并把庫映射到實際的物理路徑。通常用戶編譯的文件都放在work庫中,所以必須先建立work 庫。有兩種方法建立并映射庫,第一種方法是通過圖形界面,在菜單Design→Create a New Library 彈出對話框,如圖1 所示。在Library Name 中輸入work,如果建立其它庫,可以輸入其它名字。Library Map to 是映射的物理路徑。第二種方法是用命令行的形式,建立庫用ModelSim>vlib<庫名>,映射庫用ModelSim> vmap , 如建立并映射庫work,就可以在ModelSim 主窗口命令提示符下輸入

vlib work

vmap work work

(2)編譯源代碼

該步驟主要檢查源文件的語法錯誤。實現(xiàn)方法有兩種,一是通過菜單Design→Compile,出現(xiàn)選擇源文件對話框,選擇要編譯的源文件,編譯即可;二是通過命令行方式,這一步對于VHDL 和Verilog 所使用的命令是不一樣的,對于VHDL 代碼用vcom-work.vhd.vhd , 對于Verilog 代碼用vlog-work.v.v,文件按出現(xiàn)的先后順序編譯,且支持增量編譯。編譯后的文件會放在缺省當前work 庫中。

(3)啟動仿真器

該步驟主要是把所有仿真的文件加載到當前的仿真環(huán)境中。實現(xiàn)的方法兩種,一是通過菜單Design→Load Design,出現(xiàn)加載對話框,選擇要仿真的程序即可;二是通過命令行的形式vsim-lib , 這條命令對于VHDL 和Verilog 都一樣。

(4)執(zhí)行仿真

該步驟是正式執(zhí)行仿真了,在仿真前最重要的一個步驟就是加載激勵,如要對下面的加法器進行仿真,加法器實體說明如下:

entityAdd is

port(D1: in std_logic_vector(7 downto 0);--輸入

D2: in std_logic_vector(7 downto 0);--輸入

D0: out std_logic_vector(7 downto 0);--輸出

CE: in std_logic;-使能,低有效

Clk: in std_logic);--時鐘

endAdd;

測試激勵的加載

激勵的加載有四種方法:

(1)命令行方式

這種方法是通過在命令行下直接輸入命令給信號加載激勵,然后進行仿真。如要對上面的加法器進行仿真,則輸入如下命令:

Vsim –t ps work.add

//加載work 庫中的實體add,時間分辨率為ps

Add wave –hex D1

Add wave –hex D2

Add wave –hex D0

Add wave ce

Add wave clk

//把信號加載到波形窗口,hex 表示以16進制顯示

Force ce 0 //對ce 加激勵為0

Force clk 0 0,1 25 –r 50 //對clk 加載激勵

Force D1 16#2 //對D1 加載16 進制數(shù)2

Force D2 16#1 //對D2 加載16 進制數(shù)1

Run 100 //運行100 個時間單位

如果要仿真其它數(shù)據(jù),在命令行中改變激勵

就可以了

(2)宏文件法

這種方法相當于DOS 的批處理。它把所有的命令保存為以do 為后綴名的文件中,稱為宏文件。執(zhí)行仿真時只要選擇菜單Marco → ExecuteMarco,然后選擇相應的宏文件執(zhí)行即可,或者在命令行中輸入do<宏文件名>。


1 2 > 
仿真 Modelsim

相關閱讀

暫無數(shù)據(jù)

一周熱門