新思Fusion Design Platform實(shí)現(xiàn)7nm工藝?yán)锍瘫?/h1>

2019-03-27 09:15 來(lái)源:美通社 作者:Angelina

-降低7nm設(shè)計(jì)的功耗、提高性能并縮短上市時(shí)間

-重點(diǎn):

?在設(shè)計(jì)人員的推動(dòng)下,加快部署7nm Fusion Design Platform,在具有挑戰(zhàn)性的設(shè)計(jì)方面,不僅設(shè)計(jì)實(shí)現(xiàn)質(zhì)量提升了20%,設(shè)計(jì)收斂速度也提高了兩倍多

?Fusion Design Platform重新定義了傳統(tǒng)的設(shè)計(jì)工具界限,將最佳邏輯綜合和布局布線、行業(yè)金牌signoff與新一代可測(cè)性設(shè)計(jì)技術(shù)進(jìn)行整合,提供最可預(yù)測(cè)的7nm全流程收斂方案,最大程度上減少了迭代次數(shù)

新思科技(Synopsys, Inc., 納斯達(dá)克股票市場(chǎng)代碼: SNPS)近日宣布,在設(shè)計(jì)人員的推動(dòng)下,F(xiàn)usion Design Platform?已實(shí)現(xiàn)重大7nm工藝?yán)锍瘫?,第一年流片?shù)突破100,不僅設(shè)計(jì)實(shí)現(xiàn)質(zhì)量提升了20%,設(shè)計(jì)收斂速度也提高了兩倍。Fusion Design Platform由新思科技市場(chǎng)領(lǐng)先的數(shù)字設(shè)計(jì)工具組成,重新定義了傳統(tǒng)的工具界限,共享引擎并使用獨(dú)特的單一數(shù)據(jù)模型來(lái)進(jìn)行邏輯和物理表示,不僅降低了具有挑戰(zhàn)性的7nm設(shè)計(jì)功耗,也提升了性能。

新思科技芯片設(shè)計(jì)事業(yè)部聯(lián)席總經(jīng)理Sassine Ghazi表示:“用戶正在快速部署Fusion Design Platform,這讓我們感到非常鼓舞人心。我們已與用戶設(shè)計(jì)團(tuán)隊(duì)密切合作,設(shè)計(jì)能夠在高級(jí)工藝節(jié)點(diǎn)上應(yīng)對(duì)日益升級(jí)的技術(shù)挑戰(zhàn)的平臺(tái)。通過(guò)使用7nm Fusion Design Platform,設(shè)計(jì)團(tuán)隊(duì)能夠顯著提高生產(chǎn)力,增加設(shè)計(jì)差異性并更快地推出他們的最終產(chǎn)品?!?

Fusion Design Platform提供基于7nm極紫外單次曝光的優(yōu)化,支持過(guò)孔支柱和連排打孔,以實(shí)現(xiàn)最大的設(shè)計(jì)可布線性和利用率,以及最少的電壓降和電遷移。該平臺(tái)通過(guò)使用Design Compiler? Graphical 和Design Compiler? NXT綜合、IC Compiler? II 布局布線和Fusion Compiler? RTL-to-GDSII系統(tǒng)、TestMAX? 測(cè)試與診斷、PrimeTime? signoff、StarRC?提取、RedHawk Analysis Fusion電源完整性,以及 IC Validator物理signoff 工具,提供最可預(yù)測(cè)的7nm全流程收斂方案,最大程度上減少迭代次數(shù)。

新思科技 Fusion Design Platform 7nm工藝

相關(guān)閱讀

暫無(wú)數(shù)據(jù)

一周熱門(mén)